YosysHQ / yosys

Yosys Open SYnthesis Suite
https://yosyshq.net/yosys/
ISC License
3.31k stars 860 forks source link

synthesis bug as terminate called after throwing an instance of 'std::length_error' #4259

Closed yelen103 closed 3 months ago

yelen103 commented 4 months ago

Version

Yosys 0.37+1 (git sha1 e1f4c5c9cbb, clang -fPIC -Os)

On which OS did this happen?

Linux

Reproduction Steps

Comprehensive rlt.v The specific steps are in the ‘yosys.log’ in the attachment.

Expected Behavior

No error message pops up during synthesis

Actual Behavior

An error is reported and is stored in yosys_stderr.log. The synthesis will continue after a period of time. yosys_2.zip

KrystalDelusion commented 3 months ago

This is the same issue as https://github.com/YosysHQ/yosys/issues/4160 and is fixed in the latest releases. Running the code locally with latest Yosys I do not get any error.