abs-tudelft / fletcher

Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
https://abs-tudelft.github.io/fletcher/
Apache License 2.0
217 stars 31 forks source link

[Fletchgen] Specifing the `-o` option, breaks top level generation #176

Closed EraYaN closed 5 years ago

EraYaN commented 5 years ago

Describe the bug If the -o option is given, the AxiTop and SimTop_tc files are not created. If The path is hardcoded in fletchgen.cc@L104 from std::string axi_file_path = "vhdl/AxiTop.vhd"; to std::string axi_file_path = "/output/vhdl/AxiTop.vhd"; it does work. It also works without the -o option and saving to the current directory.

To Reproduce

fletchgen -o /output -i /source/ff_in.fbs /source/ff_out.fbs -l vhdl --axi --sim -r /source/Float_data.rb -s /source/Float_data.srec --force -n FletcherFloat

vs

cd /output && fletchgen -i /source/ff_in.fbs /source/ff_out.fbs -l vhdl --axi --sim -r /source/Float_data.rb -s /source/Float_data.srec --force -n FletcherFloat

Expected behavior Both should result in the same output.