acmpesuecc / SystemVerilog-Playground

Various basic topics for SystemVerilog Modules
MIT License
1 stars 13 forks source link

Create a 16-Bit Priority Encoder #13

Open alfadelta10010 opened 1 day ago

alfadelta10010 commented 1 day ago

Design: 50 points

bunsamosa-bot[bot] commented 1 day ago

Thank you for opening this issue! A Maintainer will review it soon!

tvs-tanmay commented 19 hours ago

assign maadi 🙏

alfadelta10010 commented 19 hours ago

!assign @tvs-tanmay

bunsamosa-bot[bot] commented 18 hours ago

Hey @alfadelta10010! The timer for the @tvs-tanmay to work on the issue has finished, deassign and assign a new contributor or extend the current timer. Contact maintainer leads if inactive @DedLad @polarhive @achyuthcodes30