alemuller / tree-sitter-vhdl

VHDL grammar for tree-sitter
MIT License
29 stars 9 forks source link

Still around? #6

Open amaanq opened 1 year ago

amaanq commented 1 year ago

I'd like to add this to nvim-treesitter...but the current parser is just massive, state count of 7300, and large state count of 980 (this is ok). I think the grammar can be refactored or cleaned up a bit to reduce this, as even C++ is just 6500 and that's much more complex than VHDL imo (although I only have experience using VHDL for one EE class.. so maybe I'm too nooby)

Let me know, thanks :)