alemuller / tree-sitter-vhdl

VHDL grammar for tree-sitter
MIT License
29 stars 9 forks source link

Any instructions for building? #8

Open Remillard opened 1 year ago

Remillard commented 1 year ago

I'm not a C guy, but I am an Emacs guy and I'd like to try this out with tree-sitter in Emacs 29. VHDL is sadly not included in tree-sitter-langs so I need to build a DLL. However, as noted -- not a C guy. I really don't know what to run to create the DLL. Any possibility of getting some build instructions in the README?