amaranth-lang / amaranth

A modern hardware definition language and toolchain based on Python
https://amaranth-lang.org/docs/amaranth/
BSD 2-Clause "Simplified" License
1.56k stars 174 forks source link

Tracking issue for RFC 54: Initial and reset values on memory read ports #1212

Open wanda-phi opened 7 months ago

wanda-phi commented 7 months ago
whitequark commented 7 months ago

Due to the potential for disruption of memory inference, the implementation for this feature should not be merged until either: