anikau31 / systemc-clang

This is a Clang tool that parses SystemC models, and synthesizes Verilog from it.
Other
74 stars 19 forks source link

Identifying sc_buffer by recognizing it as a derived class of sc_signal #10

Closed rseac closed 4 years ago

rseac commented 5 years ago

A general way to identify member instances of type sc_buffer requires a change to FindSignals.cpp such that the check is to see if there is a member variable whose declaration has a base class of sc_signal.

rseac commented 5 years ago

A rework of identifying member variables of an sc_module would be a better approach.

Using AST matchers, one could go through all member declarations and identify the different types eliminating the need for multiple passes as currently done using Find*.

rseac commented 4 years ago

74 has this also working. Essentially, the matcher is checking for inheritance from sc_signal_inout_if, which would work for sc_buffer and sc_signal. The only thing is that it would be internally representated in the sc_signal datastructures.