bespoke-silicon-group / bsg_sv2v

A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.
BSD 3-Clause "New" or "Revised" License
30 stars 10 forks source link

[bug] small crash bug on test example #3

Closed taylor-bsg closed 5 years ago

taylor-bsg commented 5 years ago

See "undefined" in example directory.

stdavids commented 5 years ago

Thanks, I'll check it out ASAP

stdavids commented 5 years ago

Fixed with commit 0d6e3c2c149d58b1418119e6c4db7eb0067dc6b2