bespoke-silicon-group / bsg_sv2v

A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.
BSD 3-Clause "New" or "Revised" License
38 stars 10 forks source link

Adding return code errors to DC script. #5

Closed stdavids closed 4 years ago

stdavids commented 5 years ago

I use pipefail to catch the return error code from DC while maintaining the pipe to tee for the log. This does make this makefile bash specific.