bespoke-silicon-group / bsg_sv2v

A tool that converts SystemVerilog to Verilog. Uses Design Compiler, so it is 100% compatible.
BSD 3-Clause "New" or "Revised" License
30 stars 10 forks source link

minor bug: 'z is not converted back #6

Closed taylor-bsg closed 4 years ago

taylor-bsg commented 5 years ago

https://github.com/bespoke-silicon-group/bsg_sv2v/blob/2d645dfd49ec570f63b8669b01900fa4ddfd450c/examples/bsg_concentrate_static/bsg_concentrate_static.v#L34

stdavids commented 4 years ago

Addressed in PR #7

stdavids commented 4 years ago

PR #7 Merged