bids-standard / BEP028_BIDSprov

Organizing and coordinating BIDS extension proposal 28 : BIDS Provenance
https://bids.neuroimaging.io/bep028
Creative Commons Attribution 4.0 International
4 stars 13 forks source link

rm wasAttributedTo ? #61

Closed remiadon closed 3 years ago

remiadon commented 3 years ago

This PR discusses the wasAttributedTo attribute that one can fill in the definition of an entity, in order to refer to the Agent in charge.

IMO we should remove wasAttributedTo, it's only redundant information w.r.t querying wasGeneratedBy and then wasAssociatedWith, going from the original entity to its related activity, and from this activity to the related Agent.

In addition, this field makes graphs less interpretable, by adding edges test