bluespec / Piccolo

RISC-V CPU, simple 3-stage pipeline, for low-end applications (e.g., embedded, IoT)
Apache License 2.0
301 stars 48 forks source link

Add constraints to Xilinx IP project for the internal JTAG clock #14

Closed dhand-galois closed 5 years ago

dhand-galois commented 5 years ago

Add constraints to Xilinx IP project for preserving the JTAG Clock. Also add clock uncertainty to ensure hold times are met.

This involved creating a new src/p1_constraints.xdc file with the relevant commands and modifying the component.xml file to the new file. The mark debug constraint is used during out-of-context synthesis to preserve the internally generated JTAG clock signal. The create clock and clock uncertainty commands are then generalized by vivado during the final synth/impl steps to ensure the data path is constrained and hold times are met.