bmistree / pronghorn

1 stars 1 forks source link

Fairness tests should issue only adds #15

Closed bmistree closed 10 years ago

bmistree commented 10 years ago

Currently, fairness test reads then writes to contended switch variables. This means that can have cases where after an event completes all other events that were waiting on the read lock get scheduled. However, only one acquires the write lock and all others get preempted. This can cause some slipping in fairness results. Instead, for test all should assume write lock before reading.

bmistree commented 10 years ago

Fixed by a0018d67