botondkirei / Suport_HDL

Suport curs PSHDL
0 stars 2 forks source link

Tema de proiect - maparea intr-o tehnologie tinta a codoarelor de prioritate #3

Open botondkirei opened 4 years ago

botondkirei commented 4 years ago

Codorul de prioritate se foloseste pentru a selecta unul dintre mai multe evenimente. De exemplu sistemele de calcul stabilesc prioritatea intreruperilor hardware cu ajutorul unui codor de prioritate.

Sa consideram un codor de prioritate cu 8 intrari. Acest decodor va avea o magistrala de iesire de latimea de 5 biti. Daca nici-un semnal de intrare nu este 1 atunci iesirea este 0. Daca primul semnal este activ, atunci indiferent de restul intrarilor, iesirea va fi 1. Daca primul semnal este inactiv si al doilea este activ, atunci iesirea codorului va fi 2, indiferent de restul semnalelor. Si asa mai departe.

Maparea tehnologica se face cu ajutorul unui program mvsis (se pune la dispozitie utilitarul). Acest utilitar citeste un fisier obtinut de sintetizarea codorului (de exemplu pe9_synth.txt) si un fisierul cu descrierea tehnologiei tinta (de exemplu msu.genlib msu.genlib.txt), mapeaza codorul in tehnologia tinta si salveaza rezultatul intr-un netlist . Acest netlist se poate usor transpune in cod VHDL (descriere structurala).

Se cere maparea tehnologica a codoarelor de 9 pana la 32 de biti si transcrierea lor in VHDL. Se sugereaza

utilizarea unui limbaj de scipting pentru a traduce netlistul in cod Verilog.