bu-icsg / dana

Dynamically Allocated Neural Network Accelerator for the RISC-V Rocket Microprocessor in Chisel
Other
203 stars 36 forks source link

XFiles.scala:12: not found: object perfect #53

Open nicolast0604 opened 4 years ago

nicolast0604 commented 4 years ago

[error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/xfiles/XFiles.scala:12: not found: object perfect [error] import perfect.util.UniformPrintfs [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/xfiles/XFiles.scala:85: not found: type UniformPrintfs [error] with XFilesUserRequests with UniformPrintfs { [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/xfiles/XFiles.scala:126: not found: type UniformPrintfs [error] with UniformPrintfs { [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ActivationFunction.scala:141: not found: value printfInfo [error] printfInfo("af(0x%x) = 0x%x\n", dataIn, out) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:260: not found: value printfInfo [error] printfInfo("feedConfigRob[%d] data 0x%x\n", beatOffset, gnt.bits.data) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:261: not found: value printfInfo [error] printfInfo(" autlAddrWithBeat_d 0x%x, (%d, %d) 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:386: not found: value printfInfo [error] printfInfo("Enqueue mem req ASID/NNID/Idx 0x%x/0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:391: not found: value printfInfo [error] printfInfo("AUTL ACQ.%d | addr 0x%x, addr_block 0x%x, addr_beat 0x%x, addr_byte 0x%x, data 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:397: not found: value printfInfo [error] printfInfo("AUTL GNT | data 0x%x, addr_beat 0x%x, addr_word 0x%x, word 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:402: not found: value printfInfo [error] printfInfo("Dequeue mem req ANTP/ASID/NNID/Idx 0x%x/0x%x/0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:407: not found: value printfInfo [error] printfInfo("Cache[%d] Resp: done 0x%x, addr 0x%x, data 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:409: not found: value printfInfo [error] printfInfo(" cacheAddr/configSize/cS>>cbs 0x%x/0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:414: not found: value printfError [error] printfError("Exception code 0d%d\n", interruptCode) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:418: not found: value printfInfo [error] printfInfo("Request for store data from Cache(%d)[0x%x], done: %b\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/AsidNnidTableWalker.scala:424: not found: value printfInfo [error] printfInfo("Received store data 0x%x\n", io.cache.store.resp.bits) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/CSRs.scala:142: not found: value printfInfo [error] printfInfo("Saw fence done for 0x%x\n", p.cache.fenceasid) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:283: not found: value printfInfo [error] printfInfo("Entry 0x%x gets inUseCount of 0x%x\n", idxNotify, [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:309: not found: value printfInfo [error] printfInfo("block address from byte address 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:318: not found: value printfInfo [error] printfInfo("PE 0x%x req for weight @ addr 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:339: not found: value printfInfo [error] printfInfo("saw write to SRAM%x(%x) <= %x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:350: not found: value printfInfo [error] printfInfo("SRAM_%x received DONE response\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:460: not found: value printfInfo [error] printfInfo("PE 0x%x req for weight @ addr 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:465: not found: value printfInfo [error] printfInfo("PE 0x%x req to inc weight @addr 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:467: not found: value printfInfo [error] printfInfo(" block: 0x%x\n", io.pe.req.bits.data) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:495: not found: value printfInfo [error] printfInfo("No writeback for fence/sync (%x/%x) for asid/nnid (0x%x/0x%x)\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:505: not found: value printfInfo [error] printfInfo("Writeback needed for fence/sync (%x/%x) for asid/nnid (0x%x/0x%x)\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Cache.scala:524: not found: value printfInfo [error] printfInfo("Writeback done for asid/nnid (0x%x/0x%x)\n", t.asid, t.nnid) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/xfiles/XFiles.scala:61: not found: type UniformPrintfs [error] with UniformPrintfs { [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/Dana.scala:284: not found: value printfInfo [error] when (io.rocc.cmd.valid) { printfInfo("io.tTable.rocc.cmd.valid asserted\n") } [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:187: not found: value printfInfo [error] printfInfo("run 0x%x + (0x%x 0x%x) >> 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:285: not found: value printfWarn [error] printfWarn("Linear activation function untested\n") [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:288: not found: value printfInfo [error] printfInfo("derivative linear: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:292: not found: value printfInfo [error] printfInfo("derivative linear: 0x%x\n", one) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:295: not found: value printfInfo [error] printfInfo("derivative linear: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:301: not found: value printfInfo [error] printfInfo("derivative sigmoid: 0x%x\n", dsp.d) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:308: not found: value printfInfo [error] printfInfo("derivative sigmoid symmetric: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:312: not found: value printfInfo [error] printfInfo("derivative sigmoid symmetric: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:316: not found: value printfInfo [error] printfInfo("derivative sigmoid symmetric: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:335: not found: value printfInfo [error] printfInfo("errorOut and Error square set to 0x%x and 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:342: not found: value printfInfo [error] printfInfo("errorOut and Error square set to 0x%x and 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:354: not found: value printfInfo [error] printfInfo("errFn(0x%x) = 0x%x\n", errorOut, af.io.resp.bits.out) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:368: not found: value printfInfo [error] printfInfo("delta (output) 0x%x 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:376: not found: value printfInfo [error] printfInfo("sees errFnderivative 0x%x\n", dsp.d) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:400: not found: value printfInfo [error] printfInfo("dweight (0x%x 0x%x) >> 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:447: not found: value printfInfo [error] printfInfo("update slope 0x%x 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:466: not found: value printfInfo [error] printfInfo("bias wb: 0x%x\n", dataOut) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:475: not found: value printfInfo [error] printfInfo("weight decay %d: -1 0x%x 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:483: not found: value printfInfo [error] printfInfo("weight update %d: 0x%x 0x%x + 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:492: not found: value printfInfo [error] printfInfo("weight update %d: 0x%x 0x%x + 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:501: not found: value printfInfo [error] printfInfo("weight update writeback index/numWeights 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElement.scala:534: not found: value printfInfo [error] printfInfo("biasSlope scale 0x%x * 0x%x = 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:221: not found: value printfInfo [error] printfInfo("ramp up (0x%x++)\n", peRamp) } [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:225: not found: value printfInfo [error] printfInfo("ramp down (0x%x--)\n", peRamp) } } [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:266: not found: value printfInfo [error] printfInfo("Received control request...\n") [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:267: not found: value printfInfo [error] printfInfo(" next free: 0x%x\n", nextFree); [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:268: not found: value printfInfo [error] printfInfo(" tid idx: 0x%x\n", io.control.req.bits.tIdx) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:269: not found: value printfInfo [error] printfInfo(" cache idx: 0x%x\n", io.control.req.bits.cacheIndex) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:270: not found: value printfInfo [error] printfInfo(" neuron ptr: 0x%x\n", io.control.req.bits.neuronPointer) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:271: not found: value printfInfo [error] printfInfo(" decimal: 0x%x\n", io.control.req.bits.decimalPoint) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:272: not found: value printfInfo [error] printfInfo(" in addr: 0x%x\n", io.control.req.bits.inAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:273: not found: value printfInfo [error] printfInfo(" out addr: 0x%x\n", io.control.req.bits.outAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:295: not found: value printfInfo [error] printfInfo("Bias: 0x%x\n", resp.bias) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:296: not found: value printfInfo [error] printfInfo("Weight ptr: 0x%x\n", resp.weightOffset) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:313: not found: value printfInfo [error] printfInfo("Valid cache weight resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:410: not found: value printfInfo [error] printfInfo("Valid RegFile input resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:479: not found: value printfInfo [error] printfInfo(" error func: 0x%x\n", io.control.req.bits.errorFunction) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:480: not found: value printfInfo [error] printfInfo(" learn rate: 0x%x\n", io.control.req.bits.learningRate) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:481: not found: value printfInfo [error] printfInfo(" weightDecay: 0x%x\n", io.control.req.bits.weightDecay) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:482: not found: value printfInfo [error] printfInfo(" Global wtptr: 0x%x\n", io.control.req.bits.globalWtptr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:483: not found: value printfInfo [error] printfInfo(" learn addr: 0x%x\n", io.control.req.bits.learnAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:484: not found: value printfInfo [error] printfInfo(" DW addr: 0x%x\n", io.control.req.bits.dwAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:485: not found: value printfInfo [error] printfInfo(" slope addr: 0x%x\n", io.control.req.bits.slopeAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:486: not found: value printfInfo [error] printfInfo(" bias addr: 0x%x\n", io.control.req.bits.biasAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:487: not found: value printfInfo [error] printfInfo(" aux addr: 0x%x\n", io.control.req.bits.auxAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:488: not found: value printfInfo [error] printfInfo(" stateLearn: 0x%x\n", io.control.req.bits.stateLearn) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:489: not found: value printfInfo [error] printfInfo(" tType: 0x%x\n", io.control.req.bits.tType) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:490: not found: value printfInfo [error] printfInfo(" inLast: 0x%x\n", io.control.req.bits.inLast) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:491: not found: value printfInfo [error] printfInfo(" inFirst: 0x%x\n", io.control.req.bits.inFirst) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:492: not found: value printfInfo [error] printfInfo(" batchFirst: 0x%x\n", io.control.req.bits.batchFirst) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:493: not found: value printfInfo [error] printfInfo(" in addr saved: 0x%x\n", io.control.req.bits.inAddr) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:505: not found: value printfInfo [error] printfInfo("weightoffset 0x%x\n", weightOffset) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:512: not found: value printfInfo [error] printfInfo("Valid cache weight resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:537: not found: value printfInfo [error] printfInfo("Valid RegFile input resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:546: not found: value printfInfo [error] printfInfo("Valid RegFile E[out] resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:548: not found: value printfInfo [error] printfInfo(" learnReg -> dataVec(0x%x): 0x%x\n", addr, [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:557: not found: value printfInfo [error] printfInfo("Valid RegFile out resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:559: not found: value printfInfo [error] printfInfo(" learnReg -> dataVec(0x%x): 0x%x\n", addr, [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:568: not found: value printfInfo [error] printfInfo("Valid RegFile delta--weight resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:570: not found: value printfInfo [error] printfInfo(" input delta weight product -> dataVec(0x%x): 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:579: not found: value printfInfo [error] printfInfo("Valid RegFile bias resp PE/data 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:581: not found: value printfInfo [error] printfInfo(" bias put in dw_in -> dataVec(0x%x): 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:700: not found: value printfInfo [error] printfInfo("_WEIGHT_WB reqType/datablock 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:704: not found: value printfInfo [error] printfInfo("_WEIGHT_WB reqType/datablock 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:744: not found: value printfInfo [error] printfInfo("weight block 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:757: not found: value printfInfo [error] printfInfo("Trying to write bias biasIndex/bias 0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:759: not found: value printfInfo [error] printfInfo(" .data: 0x%x\n", peArbiter.io.out.bits.data) [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/ProcessingElementTable.scala:807: not found: value printfInfo [error] printfInfo("bias wb slope: 0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/RegisterFile.scala:71: not found: value printfInfo [error] printfInfo("PE write element tIdx/Addr/Data 0x%x/0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/RegisterFile.scala:77: not found: value printfInfo [error] printfInfo("write count loc/seen/expected 0x%x/0x%x/0x%x\n", [error] ^ [error] /root/fpga-zynq/rocket-chip/dana/src/main/scala/dana/RegisterFile.scala:87: not found: value printfInfo [error] printfInfo("PE read tIdx/Addr 0x%x/0x%x\n", tIdx, [error] ^ [error] 221 errors found [error] (rocketchip/compile:compileIncremental) Compilation failed [error] Total time: 20 s, completed Jul 21, 2019 2:01:45 PM Makefrag-verilator:12: recipe for target '/root/fpga-zynq/rocket-chip/emulator/generated-src/rocketchip.DanaEmulatorConfig.prm' failed make: *** [/root/fpga-zynq/rocket-chip/emulator/generated-src/rocketchip.DanaEmulatorConfig.prm] Error 1

seldridge commented 4 years ago

The perfect package is provided by a submodule. Try a git submodule update --init --recursive.