bu-icsg / dana

Dynamically Allocated Neural Network Accelerator for the RISC-V Rocket Microprocessor in Chisel
Other
203 stars 36 forks source link

make project ROCKETCHIP_ADDONS=dana CONFIG=DanaZedboardConfig with error #58

Open nicolast0604 opened 4 years ago

nicolast0604 commented 4 years ago
    at sbt.Defaults$$anonfun$runTask$1$$anonfun$apply$38$$anonfun$apply$39.apply(Defaults.scala:748)
    at scala.Function1$$anonfun$compose$1.apply(Function1.scala:47)
    at sbt.$tilde$greater$$anonfun$$u2219$1.apply(TypeFunctions.scala:40)
    at sbt.std.Transform$$anon$4.work(System.scala:63)
    at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:228)
    at sbt.Execute$$anonfun$submit$1$$anonfun$apply$1.apply(Execute.scala:228)
    at sbt.ErrorHandling$.wideConvert(ErrorHandling.scala:17)

[error] (compile:run) Nonzero exit code: 1 [error] Total time: 1 s, completed Jul 21, 2019 2:53:09 PM ../common/Makefrag:85: recipe for target '/root/fpga-zynq/common/build/Top.DanaZedboardConfig.fir' failed make: *** [/root/fpga-zynq/common/build/Top.DanaZedboardConfig.fir] Error 1