bucaps / marss-riscv

TinyEMU based full system cycle-level micro-architectural research simulator for single-core RISC-V systems
MIT License
143 stars 23 forks source link

rework DRAM configuration parameters and latency calculations #10

Closed gkothar1 closed 4 years ago