byuccl / spydrnet

A flexible framework for analyzing and transforming FPGA netlists. Official repository.
https://byuccl.github.io/spydrnet
BSD 3-Clause "New" or "Revised" License
86 stars 20 forks source link

Initial support for case insensitive naming #176

Closed slide closed 1 year ago

slide commented 2 years ago

This is in regards to #116, I had a similar issue where there were components with the same name, but different casing and so I got an error. This adds a possible way to have a flag to use case insensitive name matching. I am not sure if this implementation is something the project would be willing to accept, it does work for me. I am definitely willing to work on a different implementation to get this feature included.

jacobdbrown4 commented 2 years ago

This looks good. I like the idea of using **kwargs instead of a long list of possible arguments.

jacobdbrown4 commented 2 years ago

Because we do periodic releases, we would like to merge this pull request into another branch. Can you change the target branch from master to case_insensitive_naming? Then when we merge our changes to master and do a release, we will include this updated functionality for case insensitive naming.

slide commented 2 years ago

Done, thanks!

jacobdbrown4 commented 10 months ago

@slide have you written any unit tests for this? Also, have you tested it with composing out a netlist?

slide commented 10 months ago

No, I have not. I left the company that I was doing this for and don't really have a way to continue working on it. Feel free to revert if its not something you think is good.