cfoge / VHDL_parse

A collection of Python scripts to make working with VHDL easier
MIT License
2 stars 3 forks source link

Dev/tidy tools #13

Closed cfoge closed 5 months ago

cfoge commented 5 months ago

added a bunch of tools, and improved assignment detection in generate/processes as well as adding the ability to trace signals reassigned in process and generate statements through the file hierachy