chaos-polymtl / lethe

Repository for the open-source lethe CFD/DEM/CFD-DEM project
https://chaos-polymtl.github.io/lethe/index.html
GNU Lesser General Public License v2.1
269 stars 59 forks source link

Write checkpoint when the end time of the simulation is reached #1218

Closed lpsaavedra closed 1 month ago

lpsaavedra commented 1 month ago

Description

This small change ensures that a checkpoint is written if the end simulation time has been reached regardless of the frequency for the check pointing specified in the parameter file.

Testing

All test involving a restart should pass without any issue. I have tested the feature with an example on my local machine and it works as expected.

Documentation

No change needed in the documentation.

Checklist (will be removed when merged)

See this page for more information about the pull request process.

Code related list:

Pull request related list: