chipsalliance / Cores-VeeR-EL2

VeeR EL2 Core
https://chipsalliance.github.io/Cores-VeeR-EL2/html/main/docs_rendered/html/index.html
Apache License 2.0
238 stars 70 forks source link

Add support for DMI export to system #148

Open calebofearth opened 7 months ago

calebofearth commented 7 months ago

The Debug Module Interface in VeeR core currently has a large set of unimplemented addresses. The Caliptra project has a use case for conditionally routing DMI accesses to the outside system for intercept by other components. This is implemented as a hardcoded re-route on addresses 0x50-0x7F, which are used to read/write external system registers. This can be seen in el2_veer_wrapper.sv.

Feature request is to implement a permanent solution that allows system override/intercept of DMI accesses to a predefined address range, as has been done in Caliptra.