chipsalliance / UHDM-integration-tests

Apache License 2.0
30 stars 8 forks source link

EarlGrey: add support for more then 2 packed ranges inside struct #648

Closed kamilrakoczy closed 2 years ago

kamilrakoczy commented 2 years ago

alert_pkg.sv is using more then 2 packed ranges inside struct, but yosys currently supports maximum of 2 packed ranges: https://github.com/antmicro/yosys-uhdm-plugin-integration/blob/master/uhdm-tests/opentitan/0001-Add-opentitan-patch-for-uhdm.patch#L466

kamilrakoczy commented 2 years ago

Support added as part of: https://github.com/SymbiFlow/yosys-symbiflow-plugins/pull/206, now we have custom step with simplification of structures.