chipsalliance / chisel

Chisel: A Modern Hardware Design Language
https://www.chisel-lang.org/
Apache License 2.0
3.91k stars 588 forks source link

Scala version #4293

Open schoeberl opened 1 month ago

schoeberl commented 1 month ago

Type of issue: Documentation missing

Please provide the steps to reproduce the problem:

Try to use Chisel 3.5.6 with Scala 2.13.14 (and many other combinations)

What is the current behavior?

missing chisel3-plugin_2.13.14

As a result, Chisel depends on a fully specified Scala version.

We should document which Scala version is supported for which Chisel (plugin) version.

schoeberl commented 1 month ago

Maybe it is enough to just show the maximum version, or a link to the Maven pages, e.g., https://mvnrepository.com/artifact/edu.berkeley.cs/chisel3-plugin

On 19.07.2024, at 14:23, Martin Schoeberl @.***> wrote:

Type of issue: Documentation missing

Please provide the steps to reproduce the problem:

Try to use Chisel 3.5.6 with Scala 2.13.14 (and many other combinations)

What is the current behavior?

missing chisel3-plugin_2.13.14

As a result, Chisel depends on a fully specified Scala version.

We should document which Scala version is supported for which Chisel (plugin) version.

— Reply to this email directly, view it on GitHub https://github.com/chipsalliance/chisel/issues/4293, or unsubscribe https://github.com/notifications/unsubscribe-auth/AAE63GES3QVG2UYTGYRLN5LZNEAL3AVCNFSM6AAAAABLEPWPJSVHI2DSMVQWIX3LMV43ASLTON2WKOZSGQYTQNZWGI2TIOI. You are receiving this because you are subscribed to this thread.

Yakkhini commented 1 month ago

Vote for this. Maybe we should add a section in Versioning.md to document this? A guide for Chisel 3 and newer Chisel versions, e.g., https://mvnrepository.com/artifact/org.chipsalliance/chisel-plugin