chipsalliance / f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Apache License 2.0
11 stars 12 forks source link

Add null process for MMCM tiles. #33

Closed litghost closed 3 years ago