chipsalliance / f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Apache License 2.0
11 stars 12 forks source link

Auto regeneration of FASM test files #42

Open acomodi opened 3 years ago

acomodi commented 3 years ago

Problem statement

Currently, there are test data files which are fixed and, with the developement going on with symbiflow-arch-defs, prjxray, etc., these data files are becoming obsolete (and will become obsolete) and unsuited for testing purposes.

The problem is that there is a circular dependency between fasm2bels and symbiflow-arch-defs, for which a set of features might change both in prjxray-db, and the FASM files in the tests do not reflect the changes in the DB, hence being unsuited for testing anymore.

Possible solutions

[TODO]

litghost commented 3 years ago

One potential solution we discussed adding tarball'd bitstreams generated from Vivado as the source of truth to break the circular dependency. So fasm2bels would have the "truth" bitstreams, generate FASM from those, and output verilog to be checked.