chipsalliance / f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Apache License 2.0
11 stars 12 forks source link

ci: add custom runners usage #84

Closed acomodi closed 2 years ago

acomodi commented 2 years ago

Signed-off-by: Alessandro Comodi acomodi@antmicro.com