chipsalliance / fpga-interchange-schema

https://fpga-interchange-schema.readthedocs.io/
Apache License 2.0
51 stars 20 forks source link

Add parameter mapping rules for macros #42

Closed gatecat closed 3 years ago

gatecat commented 3 years ago

This implements the second option discussed in https://github.com/SymbiFlow/fpga-interchange-schema/issues/41#issuecomment-824880077, that issue has some more background on the requirements here in general.

Note that this PR is on top of #40 to avoid an ordinal conflict that would otherwise occur.

gatecat commented 3 years ago

Friendly bump, I don't think any part of this or #40 that it is on top of is controversial?