chipsalliance / synlig

SystemVerilog support for Yosys
Apache License 2.0
156 stars 20 forks source link

Encountered unhandled typespec in process_typespec_member: 'orv64_access_type_t' of type 'unsupported_typespec' #689

Open hello-eternity opened 2 years ago

hello-eternity commented 2 years ago

The full error is ERROR: /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/tests/orv64/subproj/es1y/rtl_gen/pygmy_intf_typedef.sv:147: Encountered unhandled typespec in process_typespec_member: 'orv64_access_type_t' of type 'unsupported_typespec'

the source code definition is

typedef struct packed {
  orv64_vpn_t req_vpn;
  orv64_access_type_t req_access_type;
} orv64_tlb_ptw_if_req_t;

where orv64_access_type_t is

  typedef enum logic [1:0] {
    ORV64_ACCESS_FETCH = 2'b00,
    ORV64_ACCESS_LOAD  = 2'b01,
    ORV64_ACCESS_STORE = 2'b10,
    ORV64_ACCESS_AMO   = 2'b11
  } orv64_access_type_t;

it may because uhdm don't support enum type. the test case is https://github.com/hello-eternity/test_orv64_uhdm/tree/typebug this test case is on the typebug branch

rkapuscik commented 2 years ago

Thanks for your continued interest in the plugin! The unsupported typespec should not be present in the UHDM, this indicates an error in Surelog (which is used to parse the design) when it cannot resolve the type. This could mean that the design is set up incorrectly or a bug in Surelog. Could you verify if there are errors or warnings in build/slpp_all/surelog.log?

I do see (using newest Surelog) multiple errors and warnings in the design you linked, can you confirm that they are also present for you?

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 49
[WARNING] : 619
[   NOTE] : 166
hello-eternity commented 2 years ago

No

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 0
[WARNING] : 619
[   NOTE] : 166
cp /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/build/slpp_all/surelog.uhdm /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/build/orv64.uhdm
(cd /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/build && /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/../image/bin/yosys -s /root/yosys-uhdm-plugin-integration/UHDM-integration-tests/tests/orv64//yosys_script)

 /----------------------------------------------------------------------------\
 |                                                                            |
 |  yosys -- Yosys Open SYnthesis Suite                                       |
 |                                                                            |
 |  Copyright (C) 2012 - 2020  Claire Xenia Wolf <claire@yosyshq.com>         |
 |                                                                            |
 |  Permission to use, copy, modify, and/or distribute this software for any  |
 |  purpose with or without fee is hereby granted, provided that the above    |
 |  copyright notice and this permission notice appear in all copies.         |
 |                                                                            |
 |  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES  |
 |  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF          |
 |  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR   |
 |  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES    |
 |  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN     |
 |  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF   |
 |  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.            |
 |                                                                            |
 \----------------------------------------------------------------------------/

 Yosys 0.16+41 (git sha1 29c0a5958, gcc 9.4.0-1ubuntu1~20.04.1 -fPIC -Os)

-- Executing script file `/root/yosys-uhdm-plugin-integration/UHDM-integration-tests/tests/orv64//yosys_script' --

!! DEPRECATION WARNING !!

The uhdm plugin has been renamed to systemverilog.
Loading the systemverilog plugin...

1. Executing UHDM frontend.
^Cmake: *** [Makefile:56: uhdm/yosys/test-ast] Interrupt

In fact there is no error and continue to the next step and met the following error

hello-eternity commented 2 years ago

CC @hzeller

alaindargelas commented 2 years ago

If I run the following project file in Surelog standalone:

+define+PYGMY_ES1Y+FPGA+STATION_VP_PKG__SV+USE_POWER_PINS  
    rtl/common/pygmy_define.sv  
    rtl/common/pygmy_cfg.sv  
    rtl/common/pygmy_typedef.sv  
    rtl/common/pygmy_func.sv  
    rtl/png-32/rtl/orv/orv_cfg.sv 
    rtl/png-32/rtl/orv/orv_typedef.sv 
    rtl/png-32/rtl/orv/orv_func.sv 
    rtl/plic/plic_typedef.sv 
    rtl/orv64/orv64_param_pkg.sv 
    rtl/orv64/orv64_typedef_pkg.sv 
    rtl/orv64/orv64_func_pkg.sv 
    rtl/vcore_gen2/rtl/vcore_cfg.sv 
    rtl/vcore_gen2/rtl/vcore_pkg.sv 
    rtl/common/oursring_typedef.sv 
    subproj/es1y/rtl_gen/pygmy_intf_typedef.sv 
    subproj/es1y/rtl_gen/orv64_csr_mmu_if.sv 
    subproj/es1y/rtl_gen/orv64_dc_ma_if.sv 
    subproj/es1y/rtl_gen/orv64_ex_dc_if.sv 
    subproj/es1y/rtl_gen/orv64_tlb_flush_if.sv 
    subproj/es1y/rtl_gen/oursring_req_if.sv 
    subproj/es1y/rtl_gen/pcie_req_if.sv 
    subproj/es1y/rtl_gen/usb_cache_if.sv 
    subproj/es1y/rtl_gen/vpfp_chkr_csr_if.sv 
    subproj/es1y/rtl_gen/station_dma.sv 
    subproj/es1y/rtl_gen/mem_bar_status_if.sv 
    subproj/es1y/rtl_gen/pcie_resp_if.sv 
    subproj/es1y/rtl_gen/spi_m_req_if.sv 
    subproj/es1y/rtl_gen/station_dt.sv 
    subproj/es1y/rtl_gen/l2_ctrl_cfg_if.sv 
    subproj/es1y/rtl_gen/mem_bar_clear_banks_if.sv 
    subproj/es1y/rtl_gen/spi_s_rsp_if.sv 
    subproj/es1y/rtl_gen/station_ddr_top.sv 
    subproj/es1y/rtl_gen/orv64_if_ic_if.sv 
    subproj/es1y/rtl_gen/station_usb_top.sv 
    subproj/es1y/rtl_gen/dma_intr_if.sv 
    subproj/es1y/rtl_gen/dma_data_avail_if.sv 
    subproj/es1y/rtl_gen/scan_f_if.sv 
    subproj/es1y/rtl_gen/dtm_if.sv 
    subproj/es1y/rtl_gen/tcm_if.sv 
    subproj/es1y/rtl_gen/station_orv32.sv 
    subproj/es1y/rtl_gen/station_slow_io.sv 
    subproj/es1y/rtl_gen/mem_bar_status_banks_if.sv 
    subproj/es1y/rtl_gen/vpfp_chkr_vrf_if.sv 
    subproj/es1y/rtl_gen/station_pll.sv 
    subproj/es1y/rtl_gen/apb_if.sv 
    subproj/es1y/rtl_gen/station_vp.sv 
    subproj/es1y/rtl_gen/jtag_if.sv 
    subproj/es1y/rtl_gen/orv64_cache_tlb_if.sv 
    subproj/es1y/rtl_gen/vpfp_chkr_irf_if.sv 
    subproj/es1y/rtl_gen/ahb_if.sv 
    subproj/es1y/rtl_gen/orv64_tlb_ptw_if.sv 
    subproj/es1y/rtl_gen/oursring_resp_if.sv 
    subproj/es1y/rtl_gen/io_boot_if.sv 
    subproj/es1y/rtl_gen/vpfp_chkr_wb_if.sv 
    subproj/es1y/rtl_gen/station_byp.sv 
    subproj/es1y/rtl_gen/station_cache.sv 
    subproj/es1y/rtl_gen/acp_if.sv 
    subproj/es1y/rtl_gen/amo_store_if.sv 
    subproj/es1y/rtl_gen/vpfp_chkr_id_if.sv 
    subproj/es1y/rtl_gen/test_io_if.sv 
    subproj/es1y/rtl_gen/cache_mem_if.sv 
    subproj/es1y/rtl_gen/station_sdio.sv 
    subproj/es1y/rtl_gen/cache_fence_if.sv 
    subproj/es1y/rtl_gen/cpu_cache_if.sv 
    subproj/es1y/rtl_gen/csr_satp_if.sv 
    subproj/es1y/rtl_gen/station_test.sv 
    rtl/lib/clock/icg.sv 
    rtl/lib/clock/icg_async.sv 
    rtl/lib/clock/icg_rstn.sv 
    rtl/lib/sync/sync.sv 
    rtl/lib/sd_ppln.sv 
    rtl/lib/sd_serdes.sv 
    rtl/lib/sd_sync_fifo.sv 
    rtl/lib/sd_hold_valid.sv 
    rtl/lib/ot_ip/misc/sd_input_rstn.v 
    rtl/lib/ot_ip/misc/sd_output_rstn.v 
    rtl/lib/ot_ip/misc/sd_ppln_cell_rstn.v 
    rtl/lib/ot_ip/misc/sd_ppln_delay_rstn.v 
    rtl/lib/ot_ip/misc/ot_4to1_mux_with_buf_rstn.v 
    rtl/lib/ot_ip/misc/ot_mux_with_buf_rstn.v 
    rtl/lib/ot_ip/misc/ot_one_hot_to_idx.v 
    rtl/lib/ot_ip/arbiter/ot_rr_arbiter_rstn.v 
    rtl/lib/ot_ip/arbiter/ot_rr_arbiter_with_hold_rstn.v 
    rtl/lib/ot_ip/fifo/sd_flop_fifo_rstn.v 
    rtl/lib/flop_sram.sv 
    rtl/lib/flatten_array.sv 
    rtl/vcore_gen2/rtl/vcore_ppln.sv 
    rtl/vcore_gen2/rtl/vcore_ppln_dec_disp.sv 
    rtl/vcore_gen2/rtl/vcore_ppln_disp_exe.sv 
    rtl/lib/ot_ip/barrel/ot_barrel_shift_left.v 
    rtl/lib/ot_ip/barrel/ot_barrel_shift_right.v 
    rtl/lib/sdlib/rtl/verilog/forks/sd_mirror_atomic.v 
    rtl/lib/ot_ip/misc/sd_ppln_cell.v 
    rtl/lib/ot_ip/fifo/sd_flop_fifo.v 
    rtl/lib/sdlib/rtl/verilog/closure/sd_input.v 
    rtl/lib/sdlib/rtl/verilog/closure/sd_output.v 
    rtl/lib/ot_ip/misc/sd_id_pool.v 
    rtl/lib/ot_ip/memory/ot_flop_mem_1r1w.v 
    rtl/lib/ot_ip/fifo/ot_flop_fifo.v 
    rtl/lib/ours_ip/ours_rob.sv 
    rtl/lib/ours_ip/ours_fifo.sv 
    rtl/lib/ours_ip/ours_vld_rdy_buf.sv 
    rtl/lib/ours_ip/ours_one_hot_rr_arb.sv 
    rtl/lib/ours_ip/ours_vld_rdy_rr_arb.sv 
    rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv 
    rtl/lib/ours_ip/ours_multi_lyr_vld_rdy_rr_arb.sv 
    rtl/lib/ours_ip/ours_vld_rdy_delay_line.sv 
    rtl/lib/ours_ip/ours_axi4_aw_w_rr_arb_buf.sv 
    rtl/lib/ours_ip/ours_axi4_r_rr_arb_buf.sv 
    rtl/lib/ours_ip/ours_multi_lyr_axi4_aw_w_rr_arb.sv 
    rtl/lib/ours_ip/ours_multi_lyr_axi4_r_rr_arb.sv 
    rtl/lib/ours_ip/ours_conv_oursring_64_to_32.sv 
    rtl/lib/ours_ip/ours_input_ppln.sv 
    rtl/lib/ours_ip/ours_output_ppln.sv 
    rtl/lib/ours_ip/ours_ppln_cell.sv 
    rtl/lib/clock/glitch_free_clk_switch.sv 
    rtl/lib/clock/glitch_free_clk_switch_rstn.sv 
    rtl/lib/clock/pll.sv 
    rtl/lib/clock/clk_div.sv 
    rtl/lib/clock/clk_div_pwr2.sv 
    rtl/lib/clock/clk_div_cfg.sv 
    rtl/lib/clock/clk_div_fix.sv 
    rtl/lib/top_cell/inv.sv 
    rtl/lib/top_cell/or_gate.sv 
    rtl/lib/top_cell/buf.sv 
    rtl/lib/top_cell/clk_buf.sv 
    rtl/lib/top_cell/chip_idle_gen.sv 
    rtl/lib/top_cell/mux_Nto1_1bit.sv 
    rtl/lib/dft_cell.sv 
    rtl/lib/ours_bdg_x2p/ours_bdg_x2p_pkg.sv 
    rtl/lib/ours_bdg_x2p/ours_bdg_x2p_cvt.sv 
    rtl/lib/ours_bdg_x2p/ours_bdg_x2p_fifo.sv 
    rtl/lib/ours_bdg_x2p/ours_bdg_x2p_pdec.sv 
    rtl/lib/ours_bdg_x2p/ours_bdg_x2p.sv 
    rtl/lib/ours_xm_to_cpu_noc/ours_xm_to_cpu_noc.sv 
    rtl/lib/ours_xm_to_jtag/ours_xm_to_jtag.sv 
    rtl/lib/ours_xm_to_jtag/ours_xm_to_jtag_req_proc.sv 
    rtl/lib/ours_xm_to_jtag/ours_xm_to_jtag_shift_inst_data.sv 
    rtl/lib/ours_xm_to_test_io/ours_xm_to_test_io.sv 
    rtl/lib/ours_xm_to_test_io/ours_xm_to_test_io_req_proc.sv 
    rtl/lib/ours_xm_to_test_io/ours_xm_to_test_io_shift_inst_data.sv 
    rtl/oursring/oursring_req_ppln.sv 
    rtl/oursring/oursring_req_arbiter.sv 
    rtl/oursring/oursring_req_ppln.sv 
    rtl/oursring/oursring_req.sv 
    rtl/oursring/oursring_resp_arbiter.sv 
    rtl/oursring/oursring_resp_ppln.sv 
    rtl/oursring/oursring_resp.sv 
    rtl/oursring/oursring_station.sv 
    rtl/oursring/oursring_junction.sv 
    rtl/orv64/orv64_define.sv 
    rtl/orv64/orv64_param_pkg.sv 
    rtl/orv64/orv64_typedef_pkg.sv 
    rtl/orv64/orv64_func_pkg.sv 
    rtl/orv64/orv64_oursring_if_arbiter.sv 
    rtl/orv64/orv64_ram.sv 
    rtl/orv64/orv64_perm_checker.sv 
    rtl/orv64/orv64_napot_addr.sv 
    rtl/orv64/orv64_clk_gating.sv 
    rtl/orv64/orv64_regfile.sv 
    rtl/orv64/orv64_int_regfile.sv 
    rtl/orv64/orv64_fp_regfile.sv 
    rtl/orv64/orv64_fetch.sv 
    rtl/orv64/orv64_decode_func_pkg.sv 
    rtl/orv64/orv64_decode.sv 
    rtl/orv64/orv64_alu.sv 
    rtl/orv64/orv64_mul.sv 
    rtl/orv64/orv64_div.sv 
    rtl/orv64/orv64_execute.sv 
    rtl/orv64/orv64_mem_access.sv 
    rtl/orv64/orv64_edeleg_checker.sv 
    rtl/orv64/orv64_ideleg_checker.sv 
    rtl/orv64/orv64_csr.sv 
    rtl/orv64/orv64_rvc_inst_build.sv 
    rtl/orv64/orv64_icache.sv 
    rtl/orv64/orv64_icache_sysbus.sv 
    rtl/orv64/orv64_inst_buffer.sv                              
    rtl/orv64/orv64_icache_top.sv 
    rtl/orv64/orv64_storebuf.sv 
    rtl/orv64/orv64_dcache_bypass.sv 
    rtl/orv64/orv64_breakpoint.sv 
    rtl/orv64/orv64_stall.sv 
    rtl/orv64/orv64_inst_trace_buf.sv 
    rtl/orv64/orv64_debug_access.sv 
    rtl/orv64/orv64_cache_noc.sv 
    rtl/orv64/orv64_tlb.sv 
    rtl/orv64/orv64_pmp_match.sv 
    rtl/orv64/orv64_pmp_checker.sv 
    rtl/orv64/orv64_ptw_core.sv 
    rtl/orv64/orv64_ptw.sv 
    rtl/orv64/orv64.sv

-top orv64 -parse -elabuhdm 

+define+SYNTHESIS +define+FPGA +define+PYGMY_ES1Y --disable-feature=parametersubstitution

I see the following errors which means the design is highly incomplete:

....
[NTE:EL0503] rtl/orv64/orv64.sv:5:1: Top level module "work@orv64".

[ERR:EL0528] rtl/orv64/orv64.sv:10:10: Undefined imported package: "pygmy_intf_typedef".

[ERR:CP0317] rtl/orv64/orv64.sv:383:23: Undefined type "cpu_cache_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:387:23: Undefined type "cpu_cache_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:391:24: Undefined type "cpu_cache_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:395:24: Undefined type "cpu_cache_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:466:25: Undefined type "cache_fence_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:470:25: Undefined type "cache_fence_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:477:30: Undefined type "orv64_cache_tlb_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:481:30: Undefined type "orv64_cache_tlb_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:485:31: Undefined type "orv64_tlb_flush_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:496:24: Undefined type "oursring_req_if_ar_t".

[ERR:CP0317] rtl/orv64/orv64.sv:497:24: Undefined type "oursring_req_if_aw_t".

[ERR:CP0317] rtl/orv64/orv64.sv:498:23: Undefined type "oursring_req_if_w_t".

[ERR:CP0317] rtl/orv64/orv64.sv:500:24: Undefined type "oursring_resp_if_b_t".

[ERR:CP0317] rtl/orv64/orv64.sv:501:24: Undefined type "oursring_resp_if_r_t".

[ERR:CP0317] rtl/orv64/orv64.sv:513:24: Undefined type "oursring_req_if_ar_t".

[ERR:CP0317] rtl/orv64/orv64.sv:514:24: Undefined type "oursring_req_if_aw_t".

[ERR:CP0317] rtl/orv64/orv64.sv:515:23: Undefined type "oursring_req_if_w_t".

[ERR:CP0317] rtl/orv64/orv64.sv:517:24: Undefined type "oursring_resp_if_b_t".

[ERR:CP0317] rtl/orv64/orv64.sv:518:24: Undefined type "oursring_resp_if_r_t".

[ERR:CP0317] rtl/orv64/orv64.sv:606:25: Undefined type "cache_fence_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:610:25: Undefined type "cache_fence_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:614:30: Undefined type "orv64_cache_tlb_if_req_t".

[ERR:CP0317] rtl/orv64/orv64.sv:618:30: Undefined type "orv64_cache_tlb_if_resp_t".

[ERR:CP0317] rtl/orv64/orv64.sv:625:31: Undefined type "orv64_tlb_flush_if_req_t".

[ERR:EL0528] rtl/orv64/orv64_decode.sv:11:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_decode.sv:850:5: Cannot find a module definition for "work@orv64_decode::icg".

[WRN:EL0500] rtl/orv64/orv64_decode.sv:1390:3: Cannot find a module definition for "work@orv64_decode::icg".

[ERR:EL0528] rtl/orv64/orv64_execute.sv:11:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_execute.sv:100:3: Cannot find a module definition for "work@orv64_execute::icg".

[WRN:EL0500] rtl/orv64/orv64_execute.sv:107:3: Cannot find a module definition for "work@orv64_execute::icg".

[WRN:EL0500] rtl/orv64/orv64_execute.sv:114:3: Cannot find a module definition for "work@orv64_execute::icg".

[WRN:EL0500] rtl/orv64/orv64_execute.sv:121:3: Cannot find a module definition for "work@orv64_execute::icg".

[WRN:EL0500] rtl/orv64/orv64_execute.sv:128:3: Cannot find a module definition for "work@orv64_execute::icg".

[WRN:EL0500] rtl/orv64/orv64_mul.sv:118:3: Cannot find a module definition for "work@orv64_mul::simlpe_mult".

[ERR:EL0528] rtl/orv64/orv64_mem_access.sv:9:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_mem_access.sv:75:3: Cannot find a module definition for "work@orv64_mem_access::icg".

[WRN:EL0500] rtl/orv64/orv64_mem_access.sv:82:3: Cannot find a module definition for "work@orv64_mem_access::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:261:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:268:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:275:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:282:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:289:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:296:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:303:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_csr.sv:310:3: Cannot find a module definition for "work@orv64_csr::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[1]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[2]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[3]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[4]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[5]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[6]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[7]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[8]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[9]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[10]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[11]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[12]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[13]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[14]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[15]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[16]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[17]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[18]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[19]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[20]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[21]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[22]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[23]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[24]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[25]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[26]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[27]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[28]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[29]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[30]::icg".

[WRN:EL0500] rtl/orv64/orv64_regfile.sv:93:7: Cannot find a module definition for "work@orv64.IRF.RF.genblk1[31]::icg".

[ERR:EL0528] rtl/orv64/orv64_icache_top.sv:10:10: Undefined imported package: "pygmy_intf_typedef".

[ERR:EL0528] rtl/orv64/orv64_inst_buffer.sv:10:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_inst_buffer.sv:76:3: Cannot find a module definition for "work@orv64_inst_buffer::icg".

[WRN:EL0500] rtl/orv64/orv64_inst_buffer.sv:83:3: Cannot find a module definition for "work@orv64_inst_buffer::icg".

[WRN:EL0500] rtl/orv64/orv64_inst_buffer.sv:90:3: Cannot find a module definition for "work@orv64_inst_buffer::icg".

[ERR:EL0528] rtl/orv64/orv64_icache_sysbus.sv:10:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_icache_sysbus.sv:58:3: Cannot find a module definition for "work@orv64_icache_sysbus::icg".

[ERR:EL0528] rtl/orv64/orv64_icache.sv:171:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_icache.sv:254:3: Cannot find a module definition for "work@orv64_icache::icg".

[WRN:EL0500] rtl/orv64/orv64_icache.sv:261:3: Cannot find a module definition for "work@orv64_icache::icg".

[WRN:EL0500] rtl/orv64/orv64_icache.sv:268:3: Cannot find a module definition for "work@orv64_icache::icg".

[ERR:EL0528] rtl/orv64/orv64_dcache_bypass.sv:10:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_dcache_bypass.sv:101:3: Cannot find a module definition for "work@orv64_dcache_bypass::icg".

[WRN:EL0500] rtl/orv64/orv64.sv:678:3: Cannot find a module definition for "work@orv64::orv64_tlb".

[WRN:EL0500] rtl/orv64/orv64.sv:705:3: Cannot find a module definition for "work@orv64::orv64_tlb".

[WRN:EL0500] rtl/orv64/orv64.sv:735:3: Cannot find a module definition for "work@orv64::orv64_ptw".

[ERR:EL0528] rtl/orv64/orv64_cache_noc.sv:8:10: Undefined imported package: "pygmy_intf_typedef".

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:13:31: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u"
             text:   input   logic [N_INPUT-1:0][WIDTH-1:0]  slave_info ,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:17:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u"
             text:   output  logic [WIDTH-1:0]               master_info ,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:28:23: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u"
             text:   logic [N_INPUT-1:0][WIDTH-1:0]  slave_info_buf_o ;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:32:10: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u"
             text:   logic [WIDTH-1:0]               master_info_buf_i;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:68:10: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u"
             text:   logic [WIDTH-1:0][N_INPUT-1:0] slave_info_buf_o_grt;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[0].slave_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[0].slave_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[1].slave_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[1].slave_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[2].slave_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[2].slave_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[3].slave_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[3].slave_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[4].slave_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.BUF_IN[4].slave_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.master_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.orv64_noc_u.rr_arb_u.master_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_rr_arb_buf.sv:92:3: Cannot find a module definition for "work@ours_vld_rdy_rr_arb_buf::icg".

[ERR:EL0528] rtl/orv64/orv64_oursring_if_arbiter.sv:7:10: Undefined imported package: "pygmy_intf_typedef".

[ERR:CP0317] rtl/orv64/orv64_oursring_if_arbiter.sv:61:25: Undefined type "oursring_req_if_ar_t".

[ERR:CP0317] rtl/orv64/orv64_oursring_if_arbiter.sv:68:25: Undefined type "oursring_req_if_w_t".

[ERR:CP0317] rtl/orv64/orv64_oursring_if_arbiter.sv:69:25: Undefined type "oursring_req_if_aw_t".

[ERR:CP0317] rtl/orv64/orv64_oursring_if_arbiter.sv:70:25: Undefined type "oursring_resp_if_b_t".

[ERR:CP0317] rtl/orv64/orv64_oursring_if_arbiter.sv:71:25: Undefined type "oursring_resp_if_r_t".

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:37:14: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_dout;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:38:14: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_din;
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:42:7: Cannot find a module definition for "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0::icg".

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0.fifo_u"
             text:   output  logic [WIDTH-1:0] dout,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:17:18: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0.fifo_u"
             text:   input   logic [WIDTH-1:0] din,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:28:23: Negative value in instance "work@orv64.sysbus_arbiter_u.aw_buf_u.DEPTH_GT_0.fifo_u.genblk1"
             text:     logic            [WIDTH-1:0]  dff_fifo_ram;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:37:14: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_dout;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:38:14: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_din;
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:42:7: Cannot find a module definition for "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0::icg".

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0.fifo_u"
             text:   output  logic [WIDTH-1:0] dout,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:17:18: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0.fifo_u"
             text:   input   logic [WIDTH-1:0] din,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:28:23: Negative value in instance "work@orv64.sysbus_arbiter_u.w_buf_u.DEPTH_GT_0.fifo_u.genblk1"
             text:     logic            [WIDTH-1:0]  dff_fifo_ram;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:37:14: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_dout;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:38:14: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_din;
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:42:7: Cannot find a module definition for "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0::icg".

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0.fifo_u"
             text:   output  logic [WIDTH-1:0] dout,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:17:18: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0.fifo_u"
             text:   input   logic [WIDTH-1:0] din,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:28:23: Negative value in instance "work@orv64.sysbus_arbiter_u.ar_buf_u.DEPTH_GT_0.fifo_u.genblk1"
             text:     logic            [WIDTH-1:0]  dff_fifo_ram;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:37:14: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_dout;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:38:14: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_din;
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:42:7: Cannot find a module definition for "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0::icg".

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0.fifo_u"
             text:   output  logic [WIDTH-1:0] dout,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:17:18: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0.fifo_u"
             text:   input   logic [WIDTH-1:0] din,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:28:23: Negative value in instance "work@orv64.sysbus_arbiter_u.b_buf_u.DEPTH_GT_0.fifo_u.genblk1"
             text:     logic            [WIDTH-1:0]  dff_fifo_ram;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u"
             text:   input   logic [WIDTH-1:0] slave_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:19:18: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u"
             text:   output  logic [WIDTH-1:0] master_info,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:37:14: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_dout;
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:38:14: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0"
             text:       logic [WIDTH-1:0] fifo_din;
             value: INT:-1.

[WRN:EL0500] rtl/lib/ours_ip/ours_vld_rdy_buf.sv:42:7: Cannot find a module definition for "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0::icg".

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:15:18: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0.fifo_u"
             text:   output  logic [WIDTH-1:0] dout,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:17:18: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0.fifo_u"
             text:   input   logic [WIDTH-1:0] din,
             value: INT:-1.

[NTE:EL0531] rtl/lib/ours_ip/ours_fifo.sv:28:23: Negative value in instance "work@orv64.sysbus_arbiter_u.r_buf_u.DEPTH_GT_0.fifo_u.genblk1"
             text:     logic            [WIDTH-1:0]  dff_fifo_ram;
             value: INT:-1.

[ERR:EL0528] rtl/orv64/orv64_debug_access.sv:11:10: Undefined imported package: "pygmy_intf_typedef".

[WRN:EL0500] rtl/orv64/orv64_debug_access.sv:137:3: Cannot find a module definition for "work@orv64_debug_access::icg".

[WRN:EL0500] rtl/orv64/orv64_debug_access.sv:144:3: Cannot find a module definition for "work@orv64_debug_access::icg".

[WRN:EL0500] rtl/orv64/orv64_debug_access.sv:151:3: Cannot find a module definition for "work@orv64_debug_access::icg".

[WRN:EL0500] rtl/orv64/orv64_breakpoint.sv:40:3: Cannot find a module definition for "work@orv64_breakpoint::icg".

[WRN:EL0500] rtl/orv64/orv64_breakpoint.sv:47:3: Cannot find a module definition for "work@orv64_breakpoint::icg".

[WRN:EL0500] rtl/orv64/orv64_ram.sv:25:3: Cannot find a module definition for "work@orv64_itb_ram::icg".

[WRN:EL0500] rtl/orv64/orv64_ram.sv:36:2: Cannot find a module definition for "work@orv64_itb_ram::flop_sram_1rw".

[NTE:EL0508] Nb Top level modules: 1.

[NTE:EL0509] Max instance depth: 6.

[NTE:EL0510] Nb instances: 194.

[NTE:EL0511] Nb leaf instances: 75.

[WRN:EL0512] Nb undefined modules: 52.

[WRN:EL0513] Nb undefined instances: 73.

[INF:UH0706] Creating UHDM Model...

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:616:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_U_SW_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:617:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_S_SW_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:618:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_M_SW_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:619:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_U_TIME_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:620:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_S_TIME_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:621:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_M_TIME_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:622:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_U_EXT_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:623:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_S_EXT_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:624:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_M_EXT_INT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:625:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_INST_ADDR_MISALIGNED",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:626:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_INST_ACCESS_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:627:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_ILLEGAL_INST",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:628:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_BREAKPOINT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:629:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_LOAD_ADDR_MISALIGNED",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:630:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_LOAD_ACCESS_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:631:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_STORE_ADDR_MISALIGNED",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:632:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_STORE_ACCESS_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:633:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_ECALL_FROM_U",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:634:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_ECALL_FROM_S",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:635:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_ECALL_FROM_M",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:636:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_INST_PAGE_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:637:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_LOAD_PAGE_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[ERR:UH0721] rtl/orv64/orv64_typedef_pkg.sv:638:5: Enum const size does not match base type size "ORV64_TRAP_CAUSE_STORE_PAGE_FAULT",
             rtl/orv64/orv64_typedef_pkg.sv:615:16: base type.

[INF:UH0707] Elaborating UHDM...

[ERR:UH0725] rtl/orv64/orv64_decode.sv:759:9: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:760:9: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:761:14: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:761:57: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:762:13: Unresolved hierarchical reference "id2itlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:763:23: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:763:66: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:764:13: Unresolved hierarchical reference "id2itlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:765:23: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:765:66: Unresolved hierarchical reference "id2itlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:766:13: Unresolved hierarchical reference "id2itlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:768:13: Unresolved hierarchical reference "id2itlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_decode.sv:750:12: Unresolved hierarchical reference "id2ic_fence_req.req_is_fence".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:207:5: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:208:5: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:209:10: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:209:53: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:210:7: Unresolved hierarchical reference "ex2dtlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:211:19: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:211:62: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:212:7: Unresolved hierarchical reference "ex2dtlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:213:19: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_asid".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:213:62: Unresolved hierarchical reference "ex2dtlb_flush_req.req_flush_vpn".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:214:7: Unresolved hierarchical reference "ex2dtlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_execute.sv:216:7: Unresolved hierarchical reference "ex2dtlb_flush_req.req_sfence_type".

[ERR:UH0725] rtl/orv64/orv64_icache_sysbus.sv:134:37: Unresolved hierarchical reference "sysbus_resp_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_icache_sysbus.sv:149:10: Unresolved hierarchical reference "sysbus_req_if_ar.arid".

[ERR:UH0725] rtl/orv64/orv64_icache_sysbus.sv:150:10: Unresolved hierarchical reference "sysbus_req_if_ar.araddr".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:457:21: Unresolved hierarchical reference "cpu_if_resp.resp_data".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:471:24: Unresolved hierarchical reference "cpu_if_req.req_paddr".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:678:22: Unresolved hierarchical reference "cpu_if_req.req_paddr[38:12]".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:684:94: Unresolved hierarchical reference "cpu_if_resp.resp_data".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:319:10: Unresolved hierarchical reference "ic2tlb.req_vpn".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:320:10: Unresolved hierarchical reference "ic2tlb.req_access_type".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:322:45: Unresolved hierarchical reference "tlb2ic.resp_excp_valid".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:323:46: Unresolved hierarchical reference "tlb2ic.resp_ppn[...]".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:346:23: Unresolved hierarchical reference "tlb2ic.resp_ppn".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:349:10: Unresolved hierarchical reference "cpu_if_req.req_paddr".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:350:10: Unresolved hierarchical reference "cpu_if_req.req_data".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:351:10: Unresolved hierarchical reference "cpu_if_req.req_mask".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:352:10: Unresolved hierarchical reference "cpu_if_req.req_tid.src".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:353:10: Unresolved hierarchical reference "cpu_if_req.req_tid.tid".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:354:10: Unresolved hierarchical reference "cpu_if_req.req_tid.cpu_noc_id".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:355:10: Unresolved hierarchical reference "cpu_if_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:419:10: Unresolved hierarchical reference "ic2id_fence_resp.resp_fence_is_done".

[ERR:UH0725] rtl/orv64/orv64_icache.sv:433:29: Unresolved hierarchical reference "tlb2ic.resp_excp_cause".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:269:59: Unresolved hierarchical reference "cpu_if_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:313:7: Unresolved hierarchical reference "cpu_if_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:315:7: Unresolved hierarchical reference "cpu_if_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:317:7: Unresolved hierarchical reference "cpu_if_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:392:24: Unresolved hierarchical reference "tlb2dc.resp_excp_valid".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:394:20: Unresolved hierarchical reference "tlb2dc.resp_excp_cause".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:131:10: Unresolved hierarchical reference "dc2tlb.req_vpn".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:132:10: Unresolved hierarchical reference "dc2tlb.req_access_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:134:31: Unresolved hierarchical reference "tlb2dc.resp_ppn[...]".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:244:10: Unresolved hierarchical reference "cpu_amo_store_req.req_paddr".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:245:10: Unresolved hierarchical reference "cpu_amo_store_req.req_data".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:246:10: Unresolved hierarchical reference "cpu_amo_store_req.req_mask".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:247:10: Unresolved hierarchical reference "cpu_amo_store_req.req_tid.src".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:248:10: Unresolved hierarchical reference "cpu_amo_store_req.req_tid.tid".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:249:10: Unresolved hierarchical reference "cpu_amo_store_req.req_tid.cpu_noc_id".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:250:10: Unresolved hierarchical reference "cpu_amo_store_req.req_type".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:304:10: Unresolved hierarchical reference "cpu_if_req.req_paddr".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:305:10: Unresolved hierarchical reference "cpu_if_req.req_data".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:306:10: Unresolved hierarchical reference "cpu_if_req.req_mask".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:307:10: Unresolved hierarchical reference "cpu_if_req.req_tid.src".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:308:10: Unresolved hierarchical reference "cpu_if_req.req_tid.tid".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:309:10: Unresolved hierarchical reference "cpu_if_req.req_tid.cpu_noc_id".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:409:57: Unresolved hierarchical reference "cpu_if_resp.resp_data[63:0]".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:409:87: Unresolved hierarchical reference "cpu_if_resp.resp_data[+:64]".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:409:139: Unresolved hierarchical reference "sysbus_resp_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:423:10: Unresolved hierarchical reference "sysbus_req_if_ar.arid".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:424:10: Unresolved hierarchical reference "sysbus_req_if_ar.araddr".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:433:10: Unresolved hierarchical reference "sysbus_req_if_aw.awid".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:434:10: Unresolved hierarchical reference "sysbus_req_if_aw.awaddr".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:436:10: Unresolved hierarchical reference "sysbus_req_if_w.wdata".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:437:10: Unresolved hierarchical reference "sysbus_req_if_w.wstrb".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:438:10: Unresolved hierarchical reference "sysbus_req_if_w.wlast".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:493:10: Unresolved hierarchical reference "dc2ma_fence_resp.resp_fence_is_done".

[ERR:UH0725] rtl/orv64/orv64_dcache_bypass.sv:517:23: Unresolved hierarchical reference "tlb2dc.resp_ppn".

[ERR:UH0725] rtl/orv64/orv64_cache_noc.sv:52:60: Unresolved hierarchical reference "cache_if_resp.resp_tid.src".

[ERR:UH0725] rtl/orv64/orv64_cache_noc.sv:57:72: Unresolved hierarchical reference "cache_if_resp.resp_tid.src".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:185:7: Unresolved hierarchical reference "tmp_req_ppln_if_ar.arid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:188:7: Unresolved hierarchical reference "tmp_req_ppln_if_ar.arid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:199:29: Unresolved hierarchical reference "tmp_resp_if_r.rid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:199:5: Unresolved hierarchical reference "ic_resp_ppln_if_r.rid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:200:31: Unresolved hierarchical reference "tmp_resp_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:200:5: Unresolved hierarchical reference "ic_resp_ppln_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:201:31: Unresolved hierarchical reference "tmp_resp_if_r.rresp".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:201:5: Unresolved hierarchical reference "ic_resp_ppln_if_r.rresp".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:202:31: Unresolved hierarchical reference "tmp_resp_if_r.rlast".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:202:5: Unresolved hierarchical reference "ic_resp_ppln_if_r.rlast".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:203:29: Unresolved hierarchical reference "tmp_resp_if_r.rid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:203:5: Unresolved hierarchical reference "dc_resp_ppln_if_r.rid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:204:31: Unresolved hierarchical reference "tmp_resp_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:204:5: Unresolved hierarchical reference "dc_resp_ppln_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:205:31: Unresolved hierarchical reference "tmp_resp_if_r.rresp".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:205:5: Unresolved hierarchical reference "dc_resp_ppln_if_r.rresp".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:206:31: Unresolved hierarchical reference "tmp_resp_if_r.rlast".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:206:5: Unresolved hierarchical reference "dc_resp_ppln_if_r.rlast".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:207:52: Unresolved hierarchical reference "tmp_resp_if_r.rid[4]".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:208:51: Unresolved hierarchical reference "tmp_resp_if_r.rid[4]".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:209:48: Unresolved hierarchical reference "tmp_resp_if_r.rid[4]".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:212:29: Unresolved hierarchical reference "tmp_resp_if_b.bid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:212:5: Unresolved hierarchical reference "dc_resp_ppln_if_b.bid".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:213:31: Unresolved hierarchical reference "tmp_resp_if_b.bresp".

[ERR:UH0725] rtl/orv64/orv64_oursring_if_arbiter.sv:213:5: Unresolved hierarchical reference "dc_resp_ppln_if_b.bresp".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:222:27: Unresolved hierarchical reference "ring_req_if_ar.araddr".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:223:22: Unresolved hierarchical reference "ring_req_if_ar.arid".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:230:27: Unresolved hierarchical reference "ring_req_if_aw.awaddr".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:231:26: Unresolved hierarchical reference "ring_req_if_w.wdata".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:232:22: Unresolved hierarchical reference "ring_req_if_aw.awid".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:164:10: Unresolved hierarchical reference "ring_resp_if_b.bresp".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:165:10: Unresolved hierarchical reference "ring_resp_if_r.rresp".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:166:10: Unresolved hierarchical reference "ring_resp_if_b.bid".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:167:10: Unresolved hierarchical reference "ring_resp_if_r.rid".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:168:10: Unresolved hierarchical reference "ring_resp_if_r.rdata".

[ERR:UH0725] rtl/orv64/orv64_debug_access.sv:169:10: Unresolved hierarchical reference "ring_resp_if_r.rlast".

[INF:UH0708] Writing UHDM DB: ./slpp_all/surelog.uhdm ...

[  FATAL] : 0
[ SYNTAX] : 0
[  ERROR] : 207
[WARNING] : 562
[   NOTE] : 99