chipsalliance / verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Apache License 2.0
24 stars 11 forks source link

rdf_gen: Use only start column number when parsing errorformat log #23

Closed koluckirafal closed 1 year ago

koluckirafal commented 1 year ago

Current versions of Verible puts column range in the log, which breaks assumption that the log only contains first column where given error appears. This fix strips the last column number, fixing integer parsing error.