chipsalliance / verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
https://chipsalliance.github.io/verible/
Other
1.31k stars 199 forks source link

Turn off branch filtering? #1351

Open erap129 opened 2 years ago

erap129 commented 2 years ago

Hello,
I am using verible-verilog-syntax to process verilog files and it is working great! I just have one question,
Sometimes my code contains looks like so:

`ifdef ABC
    ...some code...
`endif

I see that by default verible-verilog-syntax does not parse ...some_code... and it is simply removed from the syntax tree. Is there any way to turn off this feature and always process things that are in ifdef blocks?
I am using verible-verilog-syntax via the python wrapper.
Sorry if this is not a proper issue I did not find anywhere else to ask.

karimtera commented 2 years ago

Currently, there isn't a feature that always parse the code inside ifdef's regardless of the condition's evaluation.