chipsalliance / verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
https://chipsalliance.github.io/verible/
Other
1.33k stars 202 forks source link

Use Windows CI runner 2019 (2022 has issues). #2194

Closed hzeller closed 3 months ago

hzeller commented 3 months ago

Issues #2193