chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
84 stars 46 forks source link

Improve get_nets to return logical nets instead of wires. #48

Open tmichalak opened 3 years ago

tmichalak commented 3 years ago

Getting logical nets out of Yosys is more tricky, we might need to examine cell connections. The current implementation return wires, but a net may consist of multiple wires.

litghost commented 3 years ago

The Vivado net convention is to name the net after the driver wire. Net's other than the driver net "parent" to that net.