chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
83 stars 46 forks source link

systemverilog-plugin: fix packed arrays of enums #507

Closed kamilrakoczy closed 1 year ago

kamilrakoczy commented 1 year ago

This PR fixes size and access to packed array of enum.

UHDM-integration-test: https://github.com/chipsalliance/UHDM-integration-tests/pull/732 yosys-systemverilog run: https://github.com/antmicro/yosys-systemverilog/actions/runs/4935744732

kamilrakoczy commented 1 year ago

Actually this change makes opentitan to timeout in CI, marking as draft until resolved.

kamilrakoczy commented 1 year ago

New yosys-systemverilog run: https://github.com/antmicro/yosys-systemverilog/actions/runs/5176142077 now all tests passes.