chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
83 stars 46 forks source link

do not get value size of a constant from its typespec #510

Closed wsipak closed 1 year ago

wsipak commented 1 year ago

We no longer need to retrieve the data from the typespec, and after a recent change in Surelog, the size of the typespec would actually cause the plugin to not get the correct value from vpiSize.

https://github.com/antmicro/yosys-systemverilog/pull/1700

CI running here: https://github.com/antmicro/yosys-systemverilog/actions/runs/4957974151