chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
83 stars 46 forks source link

Process vpiArrayTypespec the same way as vpiPackedArrayTypespec #511

Closed wsipak closed 1 year ago

wsipak commented 1 year ago

Previously the was no case for vpiArrayTypespec in process_typespec_member.

CI run here: https://github.com/antmicro/yosys-systemverilog/actions/runs/4958803078

kamilrakoczy commented 1 year ago

Information that we were interested in is now reported as vpiPackedArrayTypespec (https://github.com/chipsalliance/Surelog/issues/3642#issuecomment-1548959540), so lets close this PR for now and reopen when we will need to handle vpiArrayTypespec.