chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
82 stars 46 forks source link

systemverilog-plugin: update remove module message #512

Closed kamilrakoczy closed 1 year ago

kamilrakoczy commented 1 year ago

This PR updates message about removing unused module.

Previous message could be misleading that whole module was removed, but we are removing only unelaborated (and unused) modules.