chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
83 stars 46 forks source link

systemverilog-plugin: fix unnamed packed array #513

Closed kamilrakoczy closed 1 year ago

kamilrakoczy commented 1 year ago

Now vpiPackedArrayTypespec can contain another, named type.

kamilrakoczy commented 1 year ago

UHDM-integration-test: https://github.com/chipsalliance/UHDM-integration-tests/pull/734