chipsalliance / yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
https://f4pga.org
Apache License 2.0
82 stars 46 forks source link

Remove the SystemVerilog plugin #546

Closed kbieganski closed 1 year ago

kbieganski commented 1 year ago

The plugin has been moved to https://github.com/chipsalliance/systemverilog-plugin

Should be merged after #545