cholla-hydro / cholla

A GPU-based hydro code
https://github.com/cholla-hydro/cholla/wiki
MIT License
65 stars 32 forks source link

Some HLLD tests failing on H2P but not C-3PO #260

Closed bcaddy closed 1 year ago

bcaddy commented 1 year ago

@helenarichie brought it to my attention in PR #257 that the following three HLLD tests are failing on H2P but not C-3PO. The errors are small and likely not an issue but I'll sort it out soon.

helenarichie commented 1 year ago

If it's helpful I saved the output from when I ran them the other day. I'll post them here in case it is:

[ RUN      ] tMHDHlldInternalDoubleStarFluxes.CorrectInputExpectCorrectOutput
src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 2, DensityStarFlux
The fiducial value is:       10.040447333773216
The test value is:           10.040447333773258
The absolute difference is:  4.2632564145606011e-14
The ULP difference is:       24

src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 2, EnergyStarFlux
The fiducial value is:       59.061079503595323
The test value is:           59.061079503595266
The absolute difference is:  5.6843418860808015e-14
The ULP difference is:       8

[  FAILED  ] tMHDHlldInternalDoubleStarFluxes.CorrectInputExpectCorrectOutput (0 ms)

[ RUN      ] tMHDHlldInternalStarFluxes.CorrectInputNonDegenerateExpectCorrectOutput
src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 1, EnergyStarFlux
The fiducial value is:       -21413.063415617493
The test value is:           -21413.063415617515
The absolute difference is:  2.1827872842550278e-11
The ULP difference is:       6

src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 1, MagneticStarFluxY
The fiducial value is:       -83.294404848633206
The test value is:           -83.294404848633363
The absolute difference is:  1.5631940186722204e-13
The ULP difference is:       11

src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 1, MagneticStarFluxZ
The fiducial value is:       -504.8413875424834
The test value is:           -504.84138754248409
The absolute difference is:  6.8212102632969618e-13
The ULP difference is:       12

src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 2, MomentumStarFluxZ
The fiducial value is:       -51.343648925162142
The test value is:           -51.3436489251621
The absolute difference is:  4.2632564145606011e-14
The ULP difference is:       6

[  FAILED  ] tMHDHlldInternalStarFluxes.CorrectInputNonDegenerateExpectCorrectOutput (0 ms)

[ RUN      ] tMHDHlldInternalComputeStarState.CorrectInputNonDegenerateExpectCorrectOutput
src/utils/../utils/testing_utilities.h:149: Failure
Value of: areEqual
  Actual: false
Expected: true
Difference in Case 1, EnergyStar
The fiducial value is:       1023.8840191068896
The test value is:           1023.8840191068904
The absolute difference is:  7.9580786405131221e-13
The ULP difference is:       7

[  FAILED  ] tMHDHlldInternalComputeStarState.CorrectInputNonDegenerateExpectCorrectOutput (0 ms)

[  FAILED  ] 3 tests, listed below:
[  FAILED  ] tMHDHlldInternalComputeStarState.CorrectInputNonDegenerateExpectCorrectOutput
[  FAILED  ] tMHDHlldInternalStarFluxes.CorrectInputNonDegenerateExpectCorrectOutput
[  FAILED  ] tMHDHlldInternalDoubleStarFluxes.CorrectInputExpectCorrectOutput
bcaddy commented 1 year ago

Closed with PR #262