cirosantilli / vcdvcd

Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Other
54 stars 21 forks source link

Updated API documentation ("magnitude" -> "number") #15

Closed christian-krieg closed 3 years ago

christian-krieg commented 3 years ago

I fixed an inconsistency between source code and its documentation