cirosantilli / vcdvcd

Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Other
54 stars 21 forks source link

Added a record for the first VCD timestamp #16

Closed christian-krieg closed 3 years ago

christian-krieg commented 3 years ago
cirosantilli commented 3 years ago

Thanks Christian, I guess this might be useful too.