cirosantilli / vcdvcd

Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Other
54 stars 21 forks source link

Added support for single-line simulation command #26

Closed christian-krieg closed 2 years ago

christian-krieg commented 2 years ago
christian-krieg commented 2 years ago

friendly ping :)

cirosantilli commented 2 years ago

Thanks Christian, merged. I wish we could handle the vector changes too, but lazy to do it :-) If only there was a convenient builtin way to make readline read until any whitespace instead: https://stackoverflow.com/questions/47927039/reading-a-file-until-a-specific-character-in-python

christian-krieg commented 2 years ago

Thank you so much, Ciro! Probably we'll add support for the vector changes once we desperately need it :D

Cheers, Christian