cirosantilli / vcdvcd

Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Other
54 stars 21 forks source link

Improved timescale parsing #9

Closed christian-krieg closed 4 years ago

christian-krieg commented 4 years ago
cirosantilli commented 4 years ago

Thanks, I merged this as: https://github.com/cirosantilli/vcdvcd/commit/cf082797dc09071400812e61d265b7d5dcd948f7

I changed the interface slightly together with a larger refactoring BTW, should be easy to see what's going on.