cocotb / cocotb

cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
https://www.cocotb.org
BSD 3-Clause "New" or "Revised" License
1.68k stars 488 forks source link

stable/1.9 backports (part 3) #3948

Closed imphil closed 1 week ago

imphil commented 1 week ago
codecov[bot] commented 1 week ago

Codecov Report

Attention: Patch coverage is 6.89655% with 27 lines in your changes missing coverage. Please review.

Project coverage is 65.69%. Comparing base (6fc0b29) to head (bde1dcc).

Files Patch % Lines
cocotb/runner.py 6.89% 27 Missing :warning:
Additional details and impacted files ```diff @@ Coverage Diff @@ ## stable/1.9 #3948 +/- ## ============================================== + Coverage 65.12% 65.69% +0.57% ============================================== Files 49 49 Lines 9210 9239 +29 Branches 2487 2478 -9 ============================================== + Hits 5998 6070 +72 + Misses 2677 2612 -65 - Partials 535 557 +22 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.

ktbarrett commented 1 week ago

If you are picking #3561, I suggest you also pick #3927.

imphil commented 1 week ago

If you are picking https://github.com/cocotb/cocotb/pull/3561, I suggest you also pick https://github.com/cocotb/cocotb/pull/3927.

Good point, I added it to the list. I'll apply it in a later PR, as I'm trying to go in the order the PRs were merged to reduce the chance of merge conflicts.