dalance / sv-parser

SystemVerilog parser library fully compliant with IEEE 1800-2017
Other
383 stars 49 forks source link

Bug: Incorrect spelling of "assertion". #73

Closed DaveMcEwan closed 1 year ago

DaveMcEwan commented 1 year ago
DaveMcEwan commented 1 year ago

I'll leave this issue open until a new release is made.