dzlonline / the_synth

A simple to use 4 polyphonic wavetable synthesizer library for Arduino.
328 stars 68 forks source link

Only outputs Saw Wave #3

Open aframires opened 8 years ago

aframires commented 8 years ago

Hello everyone! I'm trying to use this synth in an arduino UNO and, even in all examples, the only output I have in the osciloscope is a saw wave! I'm loading examples using the suggested connection with the supposed resistors and capacitors.

Anyone knows what's the problem?

aframires commented 8 years ago

image

troisiemetype commented 8 years ago

Did you try to listen to it, or just saw it? Is your setting ok? It loks like the charge/discharge of the capacitor, but with various decharging slopes. Also, I can see that the frequency setting is 62,5kHz, so there's a chance you're looking to the PWM that generates the audio wave. What do you see when setting a frewuency around what you should hear? That's to say, something between around 100-10000Hz.

dzlonline commented 8 years ago

Triangle is just a PWM artifact. Try listening to the signal (looks to be correctly modulated)

On Tue, Jun 14, 2016 at 1:58 PM, Pierre-Loup M. notifications@github.com wrote:

Did you try to listen to it, or just saw it? Is your setting ok? It loks like the charge/discharge of the capacitor, but with various decharging slopes. Also, I can see that the frequency setting is 62,5kHz, so there's a chance you're looking to the PWM that generates the audio wave. What do you see when setting a frewuency around what you should hear? That's to say, something between around 100-10000Hz.

— You are receiving this because you are subscribed to this thread. Reply to this email directly, view it on GitHub https://github.com/dzlonline/the_synth/issues/3#issuecomment-225859570, or mute the thread https://github.com/notifications/unsubscribe/AD9xSOa2h3rdjhDcNzFn2Be5lApbEC3Wks5qLpdygaJpZM4I1LAM .

aframires commented 8 years ago

I haven't explained myself correctely. The output wave was supposed to be a sine wave, in this situation we are using example "modulation.ini". I'm measuring in the audio output node.

dzlonline commented 8 years ago

Yes :)

On Tue, Jun 14, 2016 at 2:51 PM, aframires notifications@github.com wrote:

I haven't explained myself correctely. The output wave was supposed to be a sine wave, in this situation we are using example "modulation.ini". I'm measuring in the audio output node.

— You are receiving this because you commented. Reply to this email directly, view it on GitHub https://github.com/dzlonline/the_synth/issues/3#issuecomment-225871064, or mute the thread https://github.com/notifications/unsubscribe/AD9xSOD5SgXtUz3pb8GXUGo3Hx91N3QVks5qLqPIgaJpZM4I1LAM .