edaplayground / eda-playground

EDA Playground -- The FREE IDE for SystemVerilog, Verilog, and VHDL
http://www.edaplayground.com
48 stars 17 forks source link

Wrongly indenting after extern function declaration #28

Open djoffe opened 5 years ago

djoffe commented 5 years ago

A function declaration (as opposed to definition) should not start an indented block: Expected:

class someclass;
  extern function void function_a();
  extern function void function_b();
  extern function void function_c();
endclass

Seen:

class someclass;
  extern function void function_a();
    extern function void function_b();
      extern function void function_c();
        endclass
Matthew-P-T commented 5 years ago

Thank you. I've added this to Jira.