edaplayground / eda-playground

EDA Playground -- The FREE IDE for SystemVerilog, Verilog, and VHDL
http://www.edaplayground.com
48 stars 17 forks source link

Execution of file does not work #57

Closed LK-14 closed 2 years ago

LK-14 commented 2 years ago

Hi there!

I was programming with VHDL today on the EDA playground, I wanted to execute my code (worked earlier) and without any warning or error just nothing happend and after 1min it timed out - does not work since. I also tested it with files which for sure work, the execution did not work there either. I asked a friend of mine and he had the same issue. Just wanted to know what I can do.

Thanks!

j-adie456980 commented 2 years ago

I am also having this issue running simulations for System Verilog. Any info would be greatly appreciated.

Abinrose commented 2 years ago

Im having same issue here..please help me if there any solutions available

Matthew-P-T commented 2 years ago

One of the servers was playing up. I have rebooted it and now everything seems to be OK. I'm sorry for the inconvenience.