edaplayground / eda-playground

EDA Playground -- The FREE IDE for SystemVerilog, Verilog, and VHDL
http://www.edaplayground.com
48 stars 17 forks source link

Execution interrupted or reached maximum runtime. #65

Open Bernierota opened 2 years ago

Bernierota commented 2 years ago

I'm not able to run my projects anymore after that yesterday I received the message in the subject line. What can I do? Thank you for your help.

dinesh29214 commented 2 years ago

Execution interrupted or reached maximum runtime. Exit code expected: 0, received: 2 I am getting this error even for simple module. can you please help. // Code your testbench here // or browse Examples module a(); endmodule

Matthew-P-T commented 2 years ago

One of the servers had got into a funny state. I have removed it from the pool. Sorry for the inconvenience.

From: dinesh29214 @.> Sent: 16 May 2022 19:31 To: edaplayground/eda-playground @.> Cc: Subscribed @.***> Subject: Re: [edaplayground/eda-playground] Execution interrupted or reached maximum runtime. (Issue #65)

Execution interrupted or reached maximum runtime. Exit code expected: 0, received: 2 I am getting this error even for simple module. can you please help. // Code your testbench here // or browse Examples module a(); endmodule

— Reply to this email directly, view it on GitHubhttps://github.com/edaplayground/eda-playground/issues/65#issuecomment-1127999408, or unsubscribehttps://github.com/notifications/unsubscribe-auth/ADAPICY2ZQRHVMRD2525LSTVKKH6LANCNFSM5SA5BA4A. You are receiving this because you are subscribed to this thread.Message ID: @.**@.>>