edaplayground / eda-playground

EDA Playground -- The FREE IDE for SystemVerilog, Verilog, and VHDL
http://www.edaplayground.com
48 stars 17 forks source link

Not able to run any code #69

Open nithinbhargav007 opened 2 years ago

nithinbhargav007 commented 2 years ago

When i click the run button. nothing appears in the console After some time, it says run limit reached I tried many time since 2 days, can you please fix this soon?